99问答网
所有问题
用VHDL语言描述一个带异步复位的边沿D触发器
如题
举报该问题
其他回答
第1个回答 2009-06-26
architecture rexample of dff_logic is
begin
process(clk,reset)begin
if reset=`0` then
q<=d;
elsif rising_edge(clk) then
q<=d;
end if ;
end process;
end rexample;
相似回答
大家正在搜
相关问题
2. 利用VHDL语言描述一个带有同步复位功能的上升沿触发D...
vhdl 一个带有异步复位端的D触发器的模型
用VHDL语言设计一个具有异步复位功能的8位D触发器
用VHDL编程:异步清零的D触发器
用VHDL语言分别设计带同步复位的D触发器和用VHDL语言分...
怎样使用VHDL语言描述一个带有计数使能,异步清零功能的四位...
请大家帮个忙,我用verilog 实现一个带异步复位端和使能...