求代码!!verilog读.txt文档得出位数

最好能读取十六进制数

第1个回答  推荐于2017-10-06
reg [7:0] rDATA;
initial
begin
$readmemh("sin.dat",rDATA);
end
reg [7:0] rSR[3];
integer fid;
initial
begin
fid=$fopen("data_out.dat");
end
always @ (posedge rCLK)
begin
if(!rRST)
$fdisplay(fid,"%h",rSR[3]);
end追问

没看懂,能详细说一下吗

相似回答