求大侠帮选一下FPGA开发板,我准备从零开始学FPGA,在网上看的这几块板子,应该选那个?或更好的建议

1. Altera CycloneIV FPGA开发板/学习板 EP4CE6E22C8N

2.睿智FPGA开发板ALTERA IV EP4CE四代 NIOSII送下载器送遥控送视频
全网最低价,送usb blaster! 新版CYCONLE 4代开发板!黑色沉金PCB,Altera原装最新批次芯片!SDRAM,支持NIOSII SOPC,配高档数据线,供电足压,带定制透明保护板,铜柱螺丝全套。配套资料带详细教材,包含硬件详解,软件、仿真说明等,配套丰富例程等。
3.BJ-EPM240 CPLD开发板学习板 MAX II 特权同学FPGA入门 altera
应该选哪个,求高手指点

从你给的资料不是很好选啊!3号肯定直接否掉,毕竟是CPLD
1和2都是飓风4代,从你给的资料中2号是否资源是否更多呢?
我的建议是买个飓风5代吧,现在很多公司都采用5系列的,价钱便宜,功能也强大。如果针对你学习的话,最好带网口,usb,串口,spi这些我们工作中经常能遇到的接口例程。更强一步就是带DDR3,DDR2,nand,sdram,这些资源都有那就完美了,想干什么都可以,不过一般价钱较贵。追问

飓风5代 具体名字是什么呢?

追答

cyclone V

温馨提示:答案为网友推荐,仅供参考
第1个回答  2020-03-21
老师给我们提供的XILINX 的EGO1开发板,认为还不错,逻辑容量大,方便携带有一个专用包。配套的十三五教程,和教育部的教材。各种案例都有对于我来说够了。你可以去某宝自己看看。
相似回答