EDA VHDL 急求设计4位二进制同步加/减法可逆计数器

如题所述

追答

用手机没法发,在电脑上临时写了一个,不带预置,不带复位。希望能采纳。

亲,不懂的话还可以问我哦。给个好评吧

温馨提示:答案为网友推荐,仅供参考
相似回答