VHDL的作用是什么?

急,最好详细点

VHDL语言是一种用于电路设计的高级语言,主要用于描述数字系统的结构,行为,功能和接口。它的应用主要是应用在数字电路的设计中。在FPGA/CPLD/EPLD/ASIC的设计中,如定义芯片引脚功能.
温馨提示:答案为网友推荐,仅供参考
第1个回答  2009-03-18
硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化(EDA)工具,逐层进行仿真验证。
第2个回答  2009-03-03
Very-High-Speed Integrated Circuit HardwareDescription Language:

EDA 里硬件描述/编程语言
开发CPLD,FPGA
一种硬件语言,实在没法说
第3个回答  2020-12-24

相似回答