fpga内部开关用什么实现?三态门和传输门有什么区?

我用quartus和ISE搭电路框图,再编译。用三态门的话,会产生高阻态;用选通器吗?另外三态门和传输门有什么区别不?

第1个回答  推荐于2016-12-02
这个需要从硬件方面进行来看了,FPGA和ASIC设计是有区别的,在FPGA内部开关主要是通过选择器(mux)实现,或者register + enable ,而三态门主要是描述双向IO接口的,输出high-z,可以看作是一个输入接口。而这些在FPGA中是有专门的宏模块或LUT来支持的,三态可以在IOB上考虑;
而传输门(TG),主要指的是ASIC中的设计,就是一种传输模拟信号的模拟开关。CMOS传输门由一个P沟道和一个N沟道增强型MOSFET并联而成,详细的资料可以在网上搜索下。而在FPGA中,没有传输门的说法,在整个前端设计中也可以不考虑这个说法(HDL仿真可以实现),如果你没机会接触后段设计,这个概念你只关注数电/模电课本即可。本回答被提问者采纳
相似回答