99问答网
所有问题
当前搜索:
数字电路课程设计600例
EDA
课程设计
,用VHDL编程做出租车计费器
答:
通过编程、下载后,该芯片已经具备了原来需要使用复杂的
数字电路
实现的功能;更加了解和加深了对编制和调试程序的技巧,进一步提高了上机动手能力,培养了使用设计综合电路的能力,养成了提供文档资料的习惯和规范编程的思想。 本次的
课程设计
将各个单一的模块实现其功能后,学会通过原理图或顶层文件把各模块连接,从而实现对...
课程设计
———相位差检测
电路
答:
设计内容和要求(包括原始数据、技术参数、条件、设计要求等):(1)学习和练习
电路设计
PROTEL软件,(2)本电路可以检测电力网的电流和电压,电路... 救命啊。。。能给点详细的东西吗?设计内容和要求(包括原始数据、技术参数、条件、设计要求等):(1) 学习和练习电路设计PROTEL软件,(2) 本电路可以检测电力网的电流和...
大学PLC
课程设计
一般有哪些题目?
答:
PLC控制的自动售货机毕业设计论文 \x0d\x0a24. 双恒压供水西门子PLC毕业设计 \x0d\x0a25. 交流变频调速PLC控制电梯
系统设计
毕业论文 \x0d\x0a26. 基于PLC的三层电梯控制系统设计 \x0d\x0a27. PLC控制自动门的
课程设计
\x0d\x0a28. PLC控制锅炉输煤系统 \x0d\x0a29. PLC控制变频调速五层电梯...
三个开关控制一个灯的
数字
逻辑
电路图
。。用74ls10和74ls86
设计
。
视频时间 15:20
EDA
课程设计
——
数字电子
钟 设计一个电子时钟,要求可以显示时、分...
答:
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity code is port( clk: in std_logic;--
电路
工作时的时钟信号 clk1: in std_logic;--闹铃产生需要的时钟信号 k: in std_logic;--高电平表示输入1 led: out std_logic;--输入正确时亮 led1: out std_...
EDA
课程设计
——
数字电子
钟
答:
实验内容:
设计
一个能进行时、分、秒计时的十二小时制或二十四小时制的
数字
钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校准时间,每逢整点,产生报时音报时。给出完整电路及写出AHDL源程序。用MAX+plus 软件画出
电路图
(包括顶层电路图和各模块电路图),把电路图的...
数字
逻辑
课程
教学研究论文
答:
数字逻辑是
数字电路
逻辑
设计
的简称,它主要研究的是使用具有离散状态的开关器件来设计和分析数字电路,是电子工程和计算机科学的核心
课程
之一。随着科技的不断发展,数字逻辑的应用领域越来越广泛,从基础的
电子电路
到复杂的计算机系统,都离不开数字逻辑的支持。因此,对数字逻辑课程的教学研究显得尤为重要。本...
数字电路
主要有哪些应用?
答:
数字电路
与
数字电子
技术广泛的应用于电视、雷达、通信、电子计算机、自动控制、航天等科学技术领域。数字电路或数字集成电路是由许多的逻辑门组成的复杂电路。与模拟电路相比,它主要进行数字信号的处理(即信号以0与1两个状态表示),因此抗干扰能力较强。数字集成电路有各种门电路、触发器以及由它们构成的...
数字
逻辑
课程设计
—0~59秒计时码表
答:
首先用两个74LS160串联,再通过预置端实现记数的范围,59的二进制数位111011,通过一个与非门实现预置。有两个开关,左边的是启动和停止计数
电路
,开关闭合,有时钟信号输入,开始计数,开关打开,没有时钟信号输入,停止计数,开关再闭合,又开始记数。。。右边的开关是复位控制开关,开关打开,没有复位...
300分求一份
数字电路
word
课程设计
具体要求是用74LS192芯片设计一个数 ...
答:
我的
课程设计
的题目和你完全一样,而且我有完整的设计报告和相关
电路图
及PCB封装图,告诉我你的邮箱,我发给你,不过我的也是160和161做的,其实和192计数没什么差别 呵呵,看了你的资料原来我们还是一个地方的,我也在南昌
棣栭〉
<涓婁竴椤
4
5
6
7
9
10
8
11
12
13
涓嬩竴椤
灏鹃〉
其他人还搜