求高手帮我看看这个程序,要怎么输入文件数据,为什么老显示打开的文件为...答:高手看看,顺便教我仿真。弄半天,,,哎 library ieee; use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity scan_led2 is port(clk,res1,res2,res3,rst:in std_logic; -- rst为启动/停止,res为流动转换 bt:out std_logic_vector(7 downto 0)); -- 8位输出到8个发光管end scan_...